Performance Evaluation of Superscalar Processor with Multi-Bank Register File Using SPEC2000

نویسندگان

  • Kazuya Tanigawa
  • Tetsuo Hironaka
  • Moto Maeda
  • Tetsuya Sueyoshi
  • Kenichi Aoyama
  • Tetsushi Koide
  • Hans Juergen Mattausch
چکیده

Recently, register files in highly parallel superscalar processors tend to have large chip area and many access ports. This trend causes problems with chip-size, access time and power consumption. As one of the approaches for solving these problems, researchers have proposed several methods using a multi-bank register file instead of multi-port register file. And we have proposed a method to achieve higher performance as compared with other methods. In this paper, we evaluate the effectiveness of our method by software simulation using SPECint2000. The results shows that a superscalar processor with our proposal method has only 1 % performance degradation in a cycle-based comparison with a conventional multi-port register file under the condition that each register bank in multi-bank register file has two read ports and two write ports. Additionally, our method keeps only 3 % performance degradation even if each bank register has only one port.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Banked Multiported Register File for Superscalar Microprocessors

Introduction: Multiported register files and bypass networks lie at the heart of a superscalar microprocessor core, providing buffered communication of register values between producer and consumer instructions. As issue widths increase, both the number of ports and the number of registers required increase, causing the area of a conventional multiported register file to grow more than quadrati...

متن کامل

A scalable register file architecture for superscalar processors

A major obstacle in designing superscalar processors is the size and port requirement of the register file. Multiple register files of a scalar processor can be used in a superscalar processor if results are renamed when they are written to the register file. Consequently, a scalable register file architecture can be implemented without performance degradation. Another benefit is that the cycle...

متن کامل

Performance-Optimum Superscalar Architecture for Embedded Applications

Embedded applications are widely used in portable devices such as wireless phones, personal digital assistants, laptops, etc. High throughput and real time requirements are especially important in such data-intensive tasks. Therefore, architectures that provide the required performance are the most desirable. On the other hand, processor performance is severely related to the average memory acc...

متن کامل

Selective Writeback: Improving Processor Performance and Energy-Efficiency

* Oguz Ergin is currently with Intel Labs Barcelona, Spain. Abstract A significant fraction of the result values in today's superscalar microprocessors are delivered to their consumers via forwarding and are never read out from the destination registers. Such transient values are kept in the register file solely for the purpose of recovering the processor state on interrupts or exceptions. In t...

متن کامل

Asymmetrically banked value-aware register files for low-energy and high-performance

Designing high-performance low-energy register files is of critical importance to the continuation of current performance advances in wide-issue and deeply pipelined superscalar microprocessors. In this paper, we propose a new microarchitecture, the asymmetrically banked value-aware register file (AB-VARF), to exploit the prevailing narrow-width register values for low-latency and energy-effici...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2006